Основные техпроцессы при изготовлении интегральных микросхем. Оборудование для производства микросхем. Технология их создания

Интеграмльная (микро)схемма (ИС, ИМС, м/сх, англ. Integrated circuit, IC, microcircuit), чип, микрочимп (англ. microchip, silicon chip, chip) -- тонкая пластинка, отколотая, отсечённая от чего-либо -- первоначально термин относился к пластинке кристалла микросхемы) -- микроэлектронное устройство -- электронная схема произвольной сложности, изготовленная на полупроводниковом кристалле (или плёнке) и помещённая в неразборный корпус.

Часто под интегральной схемой (ИС) понимают собственно кристалл или плёнку с электронной схемой, а под микросхемой (МС) -- ИС, заключённую в корпус. В то же время выражение «чип компоненты» означает «компоненты для поверхностного монтажа», в отличие от компонентов для традиционной пайки в отверстия на плате. Поэтому правильнее говорить «чип микросхема», имея в виду микросхему для поверхностного монтажа. На 2009 год большая часть микросхем изготавливается в корпусах для поверхностного монтажа.

Современные интегральные микросхемы, предназначенные для поверхностного монтажа

Советские и зарубежные цифровые микросхемы

Технология производства полупроводниковых приборов и интегральных микросхем

Технология полупроводникового производства базируется в настоящее время на таких сложных прецизионных процессах обработки, как фото- и электронолитография, оксидирование, ионно-плазменное распыление, ионная имплантация, диффузия, термокомпрессия и др. К материалам, используемым в производстве приборов и микросхем, предъявляют высокие требования по чистоте и совершенству структуры. Для осуществления большинства технологических операций используют уникальное по характеристикам оборудование: оптико-механическое, термическое, ионно-лучевое. Процессы осуществляются в -специальных обеспыленных, помещениях с заданными влажностью и температурой.

Технологический маршрут

Технологический маршрут -- это последовательность технологических операций обработки полупроводниковых пластин, применяемых для изготовления данного типа ПП или ИМС. Документом, содержащим описание маршрута, -является маршрутная карта. Она позволяет судить о перемещении изготовляемого прибора по всем операциям, указывает оборудование, материалы, трудовые нормативы и средства контроля. Проведение каждой технологической операции"регламентируется операционной картой, содержащей описание операции с указанием технологических режимов изготовления структуры или прибора и технологической оснастки. Технологические процессы изготовления различных ПП и ИМС многообразны. Можно выделить ряд общих технологических операций и примерно одинаковую их последовательность. Типовым маршрутом изготовления пленарного ПП или ИМС определяется последовательность из ряда основных операций.

1. Подготовка пластин. Исходные полупроводниковые пластины-- эпитаксиальные структуры, например я-я+-типа, или монокристаллические подложки с электропроводностью п- или р-типа, полученные в качестве полуфабриката с завода-изготовителя, подвергают очистке, промывке, травлению с целью удаления с поверх-1 ности пластин загрязнений и частиц пыли. Слой с электропроводностью я-типа в эпитаксиальной я-я+-структуре составит в будущих транзисторах коллекторную область (рис. 1.1, а)..

2. Создание топологического рисунка. Чтобы в эпитаксиальной структуре сформировать области с электропроводностью р-типа, необходимо обеспечить проведение локальной диффузии через окна -- отверстия в защитной маске. Размеры этих окон задают с помощью процесса фотолитографии. Маской, препятствующей диффузии, служит пленка диоксида кремния. Выращивание ее является необходимой стадией планарного процесса. Пленка диоксида 7 кремния Si02 толщиной 0,3--1,0 мкм надежно предохраняет структуру от воздействия многих внешних факторов и диффузии примесей. На пленку наносят слой фоторезиста -- фотоэмульсии, экспонируют его ультрафиолетовым светом через фотошаблон, содержащий множество идентичных изображений баз транзисторов с ваданной конфигурацией и размерами. Засвеченные участки фоторезиста проявляются и обнажившуюся пленку Si02 удаляют. Окно, вскрытое для базовой диффузии, показано на рис. 1.1, б.

3. Получение р-п-перехода база-- коллектор. Для прецизионной дозировки количества вводимой в кристалл примеси -- атомов бора при создании области р-базы -- используют процесс ионной имплантации, заключающийся во внедрении ускоренных ионов в поверхность кристалла. Слой фоторезиста служит защитной маской, так как ионы, внедренные в фоторезист, не достигают поверхности диоксида. Чтобы сформировать базовую область и р-п-пере-ход коллектор -- база на требуемой глубине, используют последующую диффузионную разгонку внедренных атомов бора. Ее проводят в окислительной среде при высоких температурах. В результате формируется область базы с глубиной 2--3 мкм и на поверхности базовой области наращивается пленка Si02 толщиной 0,3--0,5 мкм (рис. 1.1, в).

4. Получение p-n-nepexoda эмиттер -- база. Вначале формируют топологический рисунок эмиттерных областей, используя процесс фотолитографии по пленке Si02 над базовой областью. Одновременно вскрывают окна, задающие конфигурацию коллекторных 8 контактов. Фоторезист удаляют и ведут диффузию фосфора с высокой концентрацией на малую глубину (до 1--1,5 мкм) (рис. 1.1, г).

5. Контактная металлизация. Для присоединения к областям эмиттера, базы и коллектора электрических выводов необходимо металлизировать поверхности контактов. Предварительно проводят фотолитографическую обработку структуры для удаления пленки диоксида с нужных участков. Затем с помощью термического испарения в вакууме на всю поверхность пластины напыляют слой металла (например, алюминия) толщиной около 1 мкм, по которому проводят еще один процесс фотолитографии для удаления лишнего металла между областями контактов. Структура с контактной металлизацией показана на рис. 1.1, д. При изготовлении ИМС аналогичным образом создают тонкопленочные пассивные элементы-- резисторы, конденсаторы, а также осуществляют коммутацию транзисторов.

6. Сборка и герметизация. Пластина содержит от нескольких сотен до десятков тысяч отдельных транзисторов. Ее разрезают на отдельные структуры, называемые на данном этапе кристаллами. На рис. 1.1, е показана топология такого кристалла с контактной металлизацией. Кристалл напаивают на кристаллодержатель, осуществляют разводку -- подсоединение электрических выводов к контактам базы, эмиттера и коллектора -- и герметизируют, помещая в металлический корпус или заливая пластмассой.

7. Испытания приборов. Для оценки параметров и надежности приборов до их поступления в отдел технического контроля производят электрические, климатические и механические испытания. Они важны для правильной информации о качестве и надежности приборов. Помимо этого каждая технологическая операция сопровождается контролем качества обработки, например измерением глубины диффузии, толщины эпитаксиального слоя, удельного или поверхностного сопротивления. После того как в структуре созданы?-?-переходы, производят контроль электрических параметров-- напряжения пробоя, тока утечки, емкости. В технологическом маршруте предусмотрены специальные контрольные карты.

Рассмотренная последовательность операций характерна для изготовления планарно-эпитаксцального транзистора. В основе классификации приборов лежит технологической метод создания активных областей структуры. По этому признаку различают сплавные, диффузионные, эпитаксиальные, имплантационные дискретные ПП, а также их модификации, например сплавно-диффу-зионные и др. Большинство современных приборов изготовляют на эпитаксиальных структурах. Активные области формируют с помощью ионной имплантации и диффузии. МОП-транзисторы изготовляют на монокристаллических подложках без эпитаксиального слоя методами планарной. технологии. Непланарные диффузионные и эпитаксиальные переходы используют при изготовлении силовых Диодов и транзисторов.

Степень интеграции.

Были предложены следующие названия микросхем в зависимости от степени интеграции (указано количество элементов для цифровых схем):

Малая интегральная схема (МИС) -- до 100 элементов в кристалле.

Средняя интегральная схема (СИС) -- до 1000 элементов в кристалле.

Большая интегральная схема (БИС) -- до 10000 элементов в кристалле.

Сверхбольшая интегральная схема (СБИС) -- до 1 миллиона элементов в кристалле.

Ультрабольшая интегральная схема (УБИС) -- до 1 миллиарда элементов в кристалле.

Гигабольшая интегральная схема (ГБИС) -- более 1 миллиарда элементов в кристалле.

В настоящее время название ГБИС практически не используется (например, последние версии процессоров Pentium 4 содержат пока несколько сотен миллионов транзисторов), и все схемы с числом элементов, превышающим 10 000, относят к классу СБИС, считая УБИС его подклассом.

Технология изготовления.

Полупроводниковая микросхема -- все элементы и межэлементные соединения выполнены на одном полупроводниковом кристалле (например, кремния, германия, арсенида галлия).

Плёночная микросхема -- все элементы и межэлементные соединения выполнены в виде плёнок:

· толстоплёночная интегральная схема;

· тонкоплёночная интегральная схема.

Гибридная микросхема -- кроме полупроводникового кристалла содержит несколько бескорпусных диодов, транзисторов и(или) других электронных компонентов, помещённых в один корпус.

Вид обрабатываемого сигнала.

Аналоговые

Цифровые

Аналого-цифровые

Аналоговые микросхемы -- входные и выходные сигналы изменяются по закону непрерывной функции в диапазоне от положительного до отрицательного напряжения питания.

Цифровые микросхемы -- входные и выходные сигналы могут иметь два значения: логический ноль или логическая единица, каждому из которых соответствует определённый диапазон напряжения. Например, для микросхем ТТЛ при питании +5 В диапазон напряжения 0…0,4 В соответствует логическому нулю, а диапазон 2,4…5 В соответствует логической единице. Для микросхем ЭСЛ-логики при питании?5,2 В: логическая единица -- это?0,8…?1,03 В, а логический ноль -- это?1,6…?1,75 В. Аналого-цифровые микросхемы совмещают в себе формы цифровой и аналоговой обработки сигналов. По мере развития технологий получают всё большее распространение.


Рис. 1 Информационно-логическая модель проектирования радиоэлектронных устройств


Рис. 2 Детализация блока «Разработка структуры РЭУ с применением комплексного моделирования»


Рис. 3. Детализация блока «Комплексное моделирование физических процессов в РЭУ»


Рис. 4. Детализация блока «Исследование надёжности РЭУ»

Cтраница 1


Технология изготовления микросхем может быть не только такой, как описана выше. Для их изготовления в качестве подложки берутся пластинки из керамики или стекла. Соединения между компонентами гонкопленочной схемы получают путем напыления на подложку в высоком вакууме пленки из золота или серебра; для формирования резисторов используются ни-хромовые или танталовые пленки.  

Особенности технологии изготовления микросхем определяют и специфику их чертежей. При изготовлении гибридной тонкопленочной интегральной микросхемы разрабатывают чертежи многослойных плат. На этих чертежах показывают размещение и фэрму элементов и их соединений.  


В настоящее время технология изготовления микросхем достигла такого уровня, который позволяет создавать большие интегральные схемы.  

В зависимости от технологии изготовления микросхемы подразделяют на полупроводниковые и пленочные. Пленочные схемы, в свою очередь, делятся на тонкопленочные и толстопленочные. Первые получают методами термического испарения материалов и катодного распыления, вторые - методами шелкографии и вжигания специальных паст в керамику. Разновидностью тонкопленочных микросхем, используемых в диапазоне СВЧ, являются микрополосковые схемы. По степени унификации и применения в РЭА микросхемы подразделяют на микросхемы широкого и частного применения.  

По мере развития технологии изготовления микросхем с высокой степенью интеграции и МОП технологии возникла необходимость устранить операцию крупномасштабного вычерчивания оригинала фотошаблона микросхемы.  

Время цикла команд микропроцессора U808D определяется технологией изготовления микросхем. В используемой в данном случае р - МОП-технологии максимальное время цикла составляет 13 5 мкс.  

Характер этих связей зависит от метода изоляции и технологии изготовления микросхемы. В меньшей степени подложка влияет на параметры транзисторов при использовании диэлектрической изоляции.  

Технология изготовления микросхем первой группы называется планерной, а технология изготовления микросхем второй группы - планарно-эпитаксиальной.  

Повышение сложности ИМС, ужесточение требований к их надежности, расширение областей применения при постоянном увеличении диапазонов эксплуатационных воздействий требуют не только совершенствования проектирования и технологии изготовления микросхем, но и четкой организации единого подхода к решению методологических вопросов при оценке качества и надежности ИМС. Важное место при этом отводится испытаниям ИМС.  

Голографический метод получает все более широкое практическое применение для решения самых различных задач, таких, как распознавание образов, построение блоков памяти большой емкости, ввода и вывода информации, в технологии изготовления микросхем и многих других.  

Если технология изготовления микросхем известна, то выбирают физическую структуру, рассчитывают для нее физические параметры и на основе этих данных производят расчет параметров активных и пассивных элементов. Если же существующая технология не удовлетворяет требованиям проектируемой микросхемы, сначала на основе электрических параметров активных элементов рассчитывают физические структуры, а затем определяют технологические режимы.  

Изменения на рынке компьютеров были вызваны появлением микросхем, которые позволили создать мини-компьютеры, доступные небольшим организациям. Эти компьютеры были хорошо встречены (и до сих пор имеют хороший сбыт), однако приближались новые перемены. Развитие технологии изготовления микросхем привело к созданию маленьких компьютеров (микрокомпьютеров) по производительности вполне сравнимых с мини - или даже с большими ЭВМ, но имеющих столь низкую цену, что они стали доступны не только любой малой организации, но и отдельным пользователям. И когда эти компьютеры начали продаваться действительно в массовых количествах и большом числе разнообразных моделей, стала очевидной необходимость создания развитого программного обеспечения, доступного пользователю в любом магазине.  

ТЕХНОЛОГИЯ ИЗГОТОВЛЕНИЯ ИНТЕГРАЛЬНЫХ МИКРОСХЕМ

Под интегральной схемой (ИС) понимается электрон­ное устройство, имеющее высокую плотность компонов­ки элементов электрической схемы, в котором все или часть элементов сформированы и электрически соеди­нены между собой на одном полупроводниковом кри­сталле или диэлектрической подложке.

ИС представляет собой многокомпонентное тело из слоевых композиций на поверхности или в приповерх­ностном слое твердого тела (полупроводника). Ее харак­теристики определяются свойствами тонких слоев раз­личных материалов, которые в свою очередь во многом зависят от условий их формирования, последовательно­сти и вида технологических операций.

Вопросы разработки и производства ИС рассматри­ваются в новой отрасли науки и техники -микро­электронике, изучающей технологические, физиче­ские конструктивные особенности электро- и радиоэле­ментов с размерами не более 1 мкм хотя бы по одной координате.

Наиболее важной проблемой при создании микросхем является разработка элементов и совместимых друг с дру­гом материалов со стабильными и воспроизводимыми характеристиками тонких слоев, а также последователь­ности технологических операций формирования много­слойной структуры, при которой последующие операции не оказывают вредного влияния на характеристики ранее сформированных слоев.

В зависимости от способа создания пленочных компо­зиций микросхемы делятся на два класса - гибридные интегральные схемы (ГИС) и полупроводниковые инте­гральные схемы (ИС).

Гибридная интегральная схема - микро­миниатюрное электронное устройство, элементы которо­го нераздельно связаны конструктивно, технологически и электрически на поверхности диэлектрической (стеклян­ной, керамической) подложки. В технологии ГИС пас­сивные элементы (резисторы, проводники, контактные площадки, конденсаторы, диэлектрические и изоля­ционные слои) изготовляют в одном технологическом цикле в виде металлических и диэлектрических пленок на поверхности подложки. Активные компоненты (диоды, транзисторы), а при необходимости также микроминиа­тюрные дискретные пассивные компоненты (конденса­торы, катушки индуктивности и т. п.) монтируются на поверхности подложки и соединяются с другими элемен­тами.

В зависимости от технологического процесса фор­мирования пассивных элементов гибридные схемы

юдразделяются на тонкопленочные и толстопленоч­ные.

Гонкопленочная технология - последовательное нане­сение на общее основание тонких (менее 1-2 мкм) пле­ночных проводников, контактов, резисторов, изоляторов армированием микрогеометрии элементов и их соединений (топологический рисунок) или в процессе осаждения с помощью трафаретов (масок), а также с помощью вного локального травления сплошных слоев материалов.

Последовательность технологических операций при изготовлении тонкопленочных ГИС по двум вариантам приведена на рис. 19.1.

Толстопленочная технология - последовательное на­несение через сетчатые трафареты и вжигание в керами­ческие подложки паст резистивного, проводящего и диэ­лектрического назначения.

Проводящие и резистивные пасты представляют со­бой смесь мелкодисперсного металлического порошка, стекла, выполняющего роль постоянного связующего, и органических жидкостей, обеспечивающих вязкость смеси. Металл обеспечивает образование проводящих (серебро, золото, платина, палладий и их сплавы) или ре-зистивных (благородные металлы и их композиции с ок­сидами) дорожек.

Пасты для изоляционных слоев представляют собой смесь стекла и органических жидкостей.

Сетчатые трафареты имеют очень малый размер ячеек (порядка 50 мкм). В соответствии с необходимой топологией схемы на некоторых участках трафарета ячейки заполняются эмульсией, пигментной бумагой или фоторезистом, предохраняющими подложку от попада­ния пасты на эти участки. Нанесение пасты производится перемещающимся ракилем. Вначале наносится проводя­щая паста для создания соединительных порошков, об­кладок конденсаторов, контактных площадок. Паста вы­сушивается, а затем вжигается при температуре 750- 950 °С. Затем через другой трафарет наносится резистивная паста, которая вжигается при меньшей темпера­туре Аналогично наносится и вжигается диэлектрическая паста для образования диэлектрического слоя в толсто­пленочных конденсаторах и в местах пересечения провод­ников.

После формирования топологии последовательность других технологических операций аналогична процессам изготовления тонкопленочных схем.

Полупроводниковые (твердотельные) инте­гральные схемы получают путем целенаправ­ленного локального изменения свойств материала полу­проводниковой подложки, легированной примесью.

Добавлением примесей в строго определенных местах и количествах можно изменять проводящие характери­стики в материале подложки из полупроводников крем­ния и германия в очень широком диапазоне - практиче­ски от проводника до изолятора. Это свойство исполь­зуется для получения в кристаллах как активных, так и пассивных элементов. Изменение свойств происходит лишь в небольшом слое кристалла, равном нескольким микрометрам и называемом р-n -переходом, где смы­каются две зоны с различной проводимостью - дыроч­ной и электронной. Остановимся на этом подробно.

Химические элементы кремний и германий имеют на внешней электронной оболочке четыре электрона, т. е. их валентность равна четырем. Известно, что атом имеет более устойчивое состояние, когда на его внешней обо­лочке находится восемь электронов. При низких темпера­турах в кристаллах полупроводника все электроны свя­заны с атомами (подвижных электронов нет), и кристалл представляет собой изолятор.

При повышении температуры полупроводника от­дельные электроны отрываются от атомов, становятся подвижными и могут создавать электрический ток в кри­сталле, когда к нему прикладывается напряжение. При удалении электрона из атома в оболочке атома образует­ся свободное место-дырка. Свободные электроны дырки беспорядочно перемещаются по кристаллу.

При включении такого кристалла в электрическую цепь наблюдается упорядоченное движение электронов от отрицательного полюса к положительному. При стрече свободного электрона с дыркой они рекомбинируют и их движение прекращается. Такая проводимость назыется собственной проводимостью полупроводника.

Если в кристалл кремния или германия ввести не­большое количество, например, алюминия, то проводи­мость легированного им кристалла будет, в основном, дырочной. Такой кристалл называется полупроводником р-типа.

При введении в кремний и германий, например, мы­шьяка, получим полупроводник с электронной проводи­мостью, называемый полупроводником р -типа.

В кристалле полупроводника можно создать с по­мощью локального легирования одновременно две зоны: p -типа и n -типа. Границу между ними называют р - п- переходом, который может выполнять функции диода.

Создавая разнообразные комбинации р- n -переходов получают элементы - диоды, транзисторы, резисторы и т. п. Сочетания любого числа элементов образуют же­лаемую схему, а так как все они являются составными частями одного кристалла полупроводникового материа­ла, то получается полностью монолитная твердотельная структура.

Базовой технологией создания полупроводниковых ИС является эпитаксиалъно-планарная технология, по ко­торой поверхность полупроводниковой монокристалли­ческой пластины вначале окисляют. Затем осуществляют локальное травление оксида слоя и через вскрытые в нем окна производят легирование полупроводника. Легирую­щие примеси диффундируют в подложку из газовой фазы при высокой температуре. Последующим окислением ок­на снова закрываются. Повторяя технологические опера­ции окисления, селективного травления и диффузии раз­личных примесей, можно реализовать различные схемные элементы: диоды, транзисторы, сопротивления и емкости. Однако емкостные элементы в связи с их большой площадью и высокой стоимостью технологиче­ских операций в ИС практически не применяют. На одной пластине монокристалла полупроводника диаме­тром около 100 мм формируется одновременно до не­скольких тысяч ИС.

Последующими операциями технологического про­цесса являются: получение вакуумным напылением или фотолитографией металлических проводников, которые соединяют элементы схемы, и контактных площадок, от­браковка пластин по параметрам отдельных ИС, разрез­ка пластины на отдельные ИС, монтаж ИС в корпусе, со­единение контактных площадок с выводами корпуса, герметизация.

Выбор конструкции и технологии изготовления инте­гральных схем обусловливается технико-экономическими соображениями. Толсто- и тонкопленочная технологии отличаются широкими возможностями реализации схем по точности элементов. Кроме того, они характеризуют­ся сравнительно низкой стоимостью подготовки про­изводства. На их базе можно изготовлять широкую но­менклатуру схем малых серий (специальных ГИС).

Преимущественное использование тонкопленочной технологии в производстве прецизионных схем объяс­няется возможностью достижения более высокой разре­шающей способности, точности и стабильности элемен­тов схем.

Толстопленочная технология отличается несколько меньшим циклом подготовки производства и менее сложным технологическим оборудованием. Она исполь­зуется для получения сравнительно несложных схем в устройствах числового программного управления, ЭВМ и др. Для получения ГИС толстопленочная техно­логия в ряде случаев обладает преимуществами по срав­нению с тонкопленочной.

Технологию полупроводниковых ИС применяют для изготовления изделий массового производства - ци­фровых схем ЭВМ, микропроцессоров, электронных ча­сов, счетных машин и т. п.

Ряд технологических операций трех основных видов технологии изготовления интегральных микросхем по своей физической природе аналогичен, несмотря на раз­личия используемых материалов и оборудования.

18 ..

Технология изготовления полупроводниковых микросхем

В зависимости от разновидности полупроводниковой технологии (локализация и литография, вакуумное напыление и гальваническое осаждение, эпитаксия, диффузия, легирование и травление) получают области с различной проводимостью, которые эквивалентны емкости, либо активным сопротивлениям, либо различным полупроводниковым приборам. Изменяя концентрацию примесей, можно получить в кристалле многослойную структуру, воспроизводящую заданную электрическую схему.

В настоящее время применяют групповые способы изготовления полупроводниковых интегральных микросхем, позволяющие за один технологический цикл получить несколько сотен заготовок микросхем. Наибольшее распространение получил групповой планарный способ, заключающийся в том, что элементы микросхем (конденсаторы, резисторы, диоды и транзисторы) располагаются в одной плоскости или на одной стороне подложки.

Рассмотрим основные технологические процессы, применяемые при изготовлении полупроводниковых микросхем (термическое оксидирование, литография, эпитаксия, диффузия и ионное легирование) .

Рис. 22. Перенос изображений с помощью негативного (а) и позитивного (б) фоторезистов:
1 -основа фотошаблона, 2 - непрозрачные участки рисунка фотошаблона, 3 - фоторезистивный слой, 4 - подложка

Термическое оксидирование мало чем отличается от типовых технологических процессов, известных при производстве полупроводниковых приборов. В технологии кремниевых полупроводниковых микросхем оксидные слои служат для изоляции отдельных участков полупроводникового кристалла (элементов, микросхемы) при последующих технологических процессах.

Литография является самым универсальным способом получения изображения элементов микросхемы на кристалле полупроводника и делится на три вида: оптическая, рентгеновская и электронная.

В производстве полупроводниковых интегральных микросхем самый универсальный технологический процесс - это оптическая литография или фотолитография. Сущность процесса фотолитографии основана на использовании фотохимических явлений, происходящих в светочувствительных покрытиях (фоторезистах) при экспонировании их через маску. На рис. 22, а показан процесс негативного, а на рис. 22, б - позитивного переноса изображений с помощью фоторезистов, а на рис. 23 приведена схема технологического процесса фотолитографии.

Весь процесс фотолитографии с помощью фоторезистивной маски состоит из трех основных этапов: формирования на поверхности подложки фото-резистивного слоя 1, фоторезистивной контактной маски II и передачи изображения с фотошаблона на фоторе-зистивный слой III.

Фотолитография может производиться бесконтактным и контактным способами. Бесконтактная фотолитография по сравнению с контактной дает более высокую степень интеграции более высокие требования к фотообо-рудованию.

Процесс получения рисунка микросхемы фотолитографическим способом сопровождается рядом контрольных операций, предусмотренных соответствующими картами технологического контроля.

Рентгеновская литография позволяет получить более высокую разрешающую способность (большую степень интеграции), так как длина волны рентгеновских лучей короче, чем световых. иднако рентгенолитография требует более сложного технологического оборудования.

Электронная литография (электронно-лучевое экспонирование) выполняется в специальных вакуумных установках и позволяют получить высокое качество рисунка микросхемы. Этот вид литографии легко автоматизируется и имеет ряд преимуществ при получении больших интегральных микросхем с большим (более 105) числом элементов.

В настоящее время полупроводниковые элементы и компоненты микросхем получают тремя методами: эпитаксии, термической диффузии и ионного легирования.

Эпитаксия-процесс выращивания слоев с упорядоченной кристаллической структурой путем реализации ориентирующего действия кристалла подложки. Ориентированно выраженные слои нового вещества, закономерно продолжающие кристаллическую решетку подложки, называют эпитаксиальными слоями. Эпитаксиальные слои на кристалле выращивают в вакууме. Процессы эпитаксиального выращивания полупроводниковых слоев аналогичны получению тонких пленок. Эпитаксию можно разделить на следующие этапы: доставка атомов или молекул вещества слоя на поверхность кристалла подложки и миграция их по поверхности; начало группирования частиц вещества около поверхностных центров кристаллизации и образование зародышей слоя; рост отдельных зародышей до их слияния и образования сплошного слоя.

Эпитаксиальные процессы могут быть очень разнообразными. В зависимости от используемого материала (полупроводниковой пластины и легирующих элементов) с помощью процесса эпитаксии можно получить однородные (мало отличающиеся) по химическому составу электронно-дырочные переходы, а также однослойные и многослойные структуры наращивания слоев различных типов проводимости. Этим методом можно получить сложные сочетания: полупроводник - полупроводник; полупроводник -

Диэлектрик; полупроводник - металл.

В настоящее время наиболее широко применяют избирательный локальный эпитаксиальный рост с использованием Si02 - контактных масок с эпитаксиально-планарной технологией.

Для получения заданных параметров эпитаксиальных слоев осуществляют контроль и регулировку толщины, удельного сопротивления, распределения концентрации примеси по толщине слоя и плотности дефектов. Эти параметры слоев определяют пробивные напряжения и обратные токи р-гс-переходов, сопротивления насыщения транзисторов, внутреннее сопротивление и вольт-фа-радные характеристики структур.

Термическая диффузия - это явление направленного перемещения частиц вещества в сторону убывания их концентрации, которое определяется градиентом концентрации.

Термическую диффузию широко используют для введения легирующих примесей в полупроводниковые пластины или в выращенные на них эпитаксиальные слои с целью получения элементов микросхемы противоположного по сравнению с исходным материалом типа проводимости, либо элементов с более низким электрическим сопротивлением. В первом случае получают, например, эмиттеры, во втором- коллекторы.

Диффузию, как правило, проводят в специальных кварцевых ампулах при 1000-1350° С. Способ проведения диффузии и диф-фузант (примесь) выбирают в зависимости от свойств полупроводника и требований, предъявляемых к параметрам диффузионных структур. Процесс диффузии предъявляет высокие требования к оборудованию и частоте легирующих примесей и обеспечивает получение слоев с высокой точностью воспроизведения параметров и толщин. Свойства диффузионных слоев тщательно контролируют, обращая внимание на глубину залегания р-гс-перехода, поверхностное сопротивление или поверхностную концентрацию примеси, распределение концентрации примеси по глубине диффузионного слоя и плотность дефектов диффузионного слоя.

Дефекты диффузионных слоев (эрозию) проверяют с помощью микроскопа с большим увеличением (до 200х) или электрорадиографии.

Ионное легирование также получило широкое применение при изготовлении полупроводниковых приборов с большой плоскостью переходов, солнечных батарей и др.

Процесс ионного легирования определяется начальной кинетической энергией ионов в полупроводнике и выполняется в два этапа. Сначала в полупроводниковую пластину на вакуумной установке с дуговым разрядом внедряют ионы, а затем проводят отжиг при высокой температуре, в результате чего восстанавливается нарушенная структура полупроводника и ионы примеси занимают узлы кристаллической решетки. Метод получения полупроводниковых элементов наиболее перспективен при изготовлении различных СВЧ-структур.

Основные технологические этапы получения полупроводниковых микросхем показаны на рис. 24. Самым распространенным методом получения элементов в микросхеме (разделения участков микросхемы) является изоляция оксидной пленкой, получаемой в результате термообработки поверхности кристалла (подложки).

Чтобы получить изолирующие р-гс-переходы на подложке кремниевой пластины 1, ее обрабатывают в течение нескольких часов в окислительной среде при 1000-1200° С. Под действием окислителя эпитаксиальный полупроводниковый поверхностный слой кремния 2 окисляется. Толщина оксидной пленки 3 - несколько десятых долей микрона. Эта пленка препятствует проникновению в глубь кристалла атомов другого вещества. Но если снять пленку с поверхности кристалла в определенных местах, то с помощью диффузии или других рассмотренных выше методов можно ввести в эпитаксиальный слой кремния примеси, создав тем самым участки различной проводимости. После того как на подложке получена оксидная пленка, на подложку наносят светочувствительный слой - фоторезист 4. Далее этот слой используют для получения в нем рисунка фотошаблона 5 в соответствии с топологией микросхемы.

Перенос изображения с фотошаблона на окисленную поверхность кремниевой пластины, покрытую слоем фоторезиста, чаще всего производят фотографией, а экспонирование - ультрафиолетовым светом или рентгеном. Затем подложку с экспонированным рисунком проявляют. Те участки, которые освещались, растворяются в кислоте, обнажая поверхность оксида кремния 6. Те же участки, которые не экспонировались, кристаллизуются и становятся нерастворимыми участками 7. Полученную подложку с нанесенной на ней рельефной схемой расположения изолирующих переходов промывают и сушат. После травления незащищенных участков оксида кремния защитный слой фоторезиста удаляют химическим способом. Таким образом, на подложке получают «окна». Такой способ получения рисунка схемы называют позитивным.

Рис. 24. Основные технологические этапы получения полупроводниковых микросхем

Через обнаженные участки 6 подложки методом диффузии вводят примеси атомов бора или фосфора, которые создают изолирующий барьер 8. На полученных изолированных друг от друга участках подложки методом вторичной диффузии, травления, наращивания или другим методом получают активные и пассивные элементы схемы и токопроводящие пленки 9.

Технология получения полупроводниковых интегральных схем состоит из 15-20, а иногда и более операций. После того как
получены все компоненты схем и пленка оксида вытравлена с тех мест, где будут находиться выводы компонентов, полупроводниковую схему покрывают методом напыления или гальванического осаждения пленкой алюминия. С помощью фотолитографии с последующим травлением получают внутрисхемные соединения.

Поскольку в едином технологическом цикле на подложке изготовляют большое количество однотипных интегральных схем, пластины разрезают на отдельные кристаллы, каждый из которых содержит готовую микросхему. Кристаллы приклеивают к держателю корпуса, а электрические контакты микросхемы методом пайки, сварки и термокомпрессии соединяют с выводами проволочными перемычками. Готовые микросхемы при необходимости герметизируют одним из описанных ниже способов.

Промышленность выпускает большую номенклатуру полупроводниковых интегральных микросхем. Например, кремниевые микросхемы с диодно-транзисторными связями предназначены для работы в логических узлах ЭВМ и узлах автоматики; германиевые полупроводниковые микросхемы с непосредственными связями являются универсальными логическими переключающими элементами НЕ - ИЛИ.

Дальнейшим развитием технологии производства интегральных микросхем явилось создание схем с большой интеграцией микроэлементов.

В совмещенной интегральной микросхеме элементы выполнены в объеме и на поверхности полупроводниковой подложки комбинированием технологии изготовления полупроводниковых и пленочных микросхем. В монокристалле кремния - подложке методами диффузии, травления и другими получают все активные элементы (диоды, транзисторы и др.), а затем на эту подложку, покрытую плотной пленкой оксида кремния, напыляют пассивные элементы (резисторы, конденсаторы) и токопроводящие проводники. Совмещенную технологию применяют для изготовления микро-мощных и быстродействующих интегральных микросхем.

Для получения контактных площадок и выводов микросхемы на подложку осаждают слой алюминия. Подложка со схемой крепится на внутреннем основании корпуса, контактные площадки на монокристалле соединяются проводниками с выводами корпуса микросхемы.

Совмещенные интегральные микросхемы конструктивно могут быть выполнены в виде моноблока довольно малых размеров. Например, двухкаскадный высокочастотный усилитель, состоящий из двух транзисторов и шести пассивных элементов, размещается на монокристалле кремния размером 2,54X1,27 мм.

Быстрый рост интеграции полупроводниковых микросхем при разработке РЭА привел к созданию микросхем высокой степени сложности: БИС, СБИС и БГИС (микросборок).

Большая интегральная схема представляет собой сложную полупроводниковую микросхему с высокой степенью интеграций. В последние годы созданы полупроводниковые БИС, имеющие
на кристалле кремния размером 1,45x1,6 мм до 1000 и более элементов (транзисторов, диодов, резисторов и др.) и выполняющие функции 300 и более отдельных интегральных микросхем. Разработан микропроцессор (микро-ЭВМ), имеющий степень интеграции свыше 107 элементов на кристалле.

Используя несколько навесных структур БИС на диэлектрической подложке с пассивной пленочной частью микросхем, можно получить микросборки (БГИС), которые просты в проектировании и изготовлении.

Повышение интеграции микросхем достигается автоматизацией и введением в технологический процесс математического моделирования с машинным проектированием топологии и применением новых методов формирования элементов микросхем (ионное легирование и др.).

Основной цикл проектирования БИС состоит из двух этапов: архитектурно - схемотехнического и конструкторско - технологического.

Архитектурно-схемотехнический этап включает разработку архитектуры и структуры микросхемы, функциональных и принципиальных электрических схем, математическое моделирование и другие работы.

Конструкторско-технологический этап включает разработку топологии и конструкции микросхемы, технологии ее изготовления, а также их испытания.

Большие и сверхбольшие интегральные микросхемы на современном уровне представляют последний этап развития классических интегральных микросхем, в которых можно выделить области, эквивалентные пассивным и активным элементам. Дальнейшее развитие элементной базы электроники возможно при использовании различных эффектов и физических явлений в молекулах твердого тела (молекулярная электроника).

), люди продолжают интересоваться результатами - а значит пора рассказать о прогрессе.

Напомню цель проекта: научиться изготавливать несложные кремниевые цифровые микросхемы в «домашних» условиях. Это никоим образом не позволит конкурировать с серийным производством - помимо того, что оно на порядки более совершенное (~22нм против ~20мкм, каждый транзистор в миллион раз меньше по площади), так еще и чудовищно дешевое (этот пункт не сразу стал очевиден). Тем не менее, даже простейшие работающие микросхемы, изготовленные в домашних условиях будут иметь как минимум образовательную и конечно декоративную ценность.

Начнем с неудач и драмы

Как я уже упоминал в комментариях к другому топику, попытка выйти с этим проектом на kickstarter провалилась - проект не прошел модерацию из-за отсутствия прототипа. Это заставило в очередной раз переосмыслить пути коммерциализации этой упрощенной технологии. Возможность релиза технологии домашних микросхем в виде RepRap-подобного opensource-кита покрыта туманом: очень уж много опасной, дорогой и нестойкой химии - так просто рассылать по почте не выйдет. Также по видимому отсутствует возможность делать мелкие партии микросхем дешевле серийных заводов: сейчас минимальные тестовые партии микросхем можно изготавливать примерно по 30-50$ штука (в партии ~25 штук), и существенно дешевле 30$ за микросхему сделать это на самодельной упрощенной установке не получится. Кроме того, не смотря на низкую цену на обычных заводах - любительские микросхемы практически никто не делает, задач где они имели бы преимущества перед FPGA/CPLD/микроконтроллерами практически нет, а стоимость и сложность разработки - остается очень высокой.

Но как я уже упоминал выше - даже с этими недостатками проект остается для меня интересным.

Логистика

Из того, что уже упоминалось в моих других статьях в последние месяцы - куплен кислородный концентратор, позволяет получить ~95% кислород без головной боли. Из вредных примесей - похоже только углекислый газ (35ppm), будем надеяться, этого будет достаточно. Также едет из Китая генератор озона (ему на входе нужен кислород) - есть результаты исследований, показывающих что им удобно растить тонкие подзатворные диэлектрики и использовать как один из этапов для очистки пластин.

Чего еще не хватает

Из того, что упоминал в предыдущей статье - TEOS видимо не нужен, слишком сложно с ним работать, HMDS - не обязателен, по крайней мере для «больших» транзисторов.

Генератор азота - это конечно удобно, работать с пластинами в инертной атмосфере и не возиться с баллонами, но также не критично.

Единственное, что серьёзно могло бы облегчить работу - это образцы spin-on dopants и spin-on glass. В России по различным причинам их не используют и не производят, за рубежем - производителей мало, продается большими партиями и стоит дорого (тысячи $). Компания Emulsitone, у которой покупала образцы Jeri Ellsworth когда делала свои транзисторы - похоже загнулась, с ними связаться так и не удалось. Но это также не обязательный пункт - работать можно и без них (с фосфорной и борной кислотами, POCl3 и BBr3), хоть и намного сложнее / несколько опаснее.

И наконец - конечно не хватает спонсора для моих проектов, иногда между дополнительными затратами времени и дополнительными затратами денег приходится выбирать первое. Если кто-то из компаний или частных лиц имеет желание спонсировать мои проекты (условия обсуждаемы) - вы знаете, где меня найти :-).
Update: Ориентировочная смета есть, высылаю по запросу - т.е. представление на что именно нужны деньги - есть.

О «серийном» проекте

В прошлой статье я упоминал о моём классическом микроэлектронном проекте - я хотел разработать и производить на серийных заводах микроконтроллеры. Исследовав под микроскопом конкурентов (нормы производства, площадь), и узнав цены производства на практически всех заводах (как отечественных, так и зарубежных) - стало понятно, что бизнес это хороший, хоть и очень капиталоемкий. Тем не менее, тут похоже пока не судьба - в Сколково проект дважды завернули , из-за отсутствия у меня профильного опыта. С одной стороны они безусловно правы, с другой - пришел бы Цукерберг в Сколково, а ему «А сколько социальных сетей вы уже создали?». Вводить в команду фиктивных членов - совершенно нет желания. Так что жизнь как всегда вносит коррективы в радужные планы - видимо сначала придется зарабатывать деньги на проект другими путями, и вернуться к нему через 3-5 лет (если он тогда еще будет кому-то нужен).

Дальнейшие планы

Следующий шаг - сборка печки с управляющей электроникой, и наконец производство первых образцов. Для начала - кремниевые диоды, исследование их характеристик, солнечные батареи, затем - полевые транзисторы, возможно и биполярные. Можно попробовать сделать диоды Шоттки - но с ними все не так просто (высокие требования к интерфейсу металл-полупроводник и краям диода).

Затем нужно думать, как в домашних условиях сделать ультразвуковую или термокомпрессионную сварку проволоки с кремниевой пластиной - это нужно для подключения выводов.

Надеюсь, в обозримом будущем домашние микросхемы мы все-же увидим:-)

Теги:

  • asic
  • микросхема
  • кремний
  • разработка
  • фотолитография
Добавить метки

2024 stdpro.ru. Сайт о правильном строительстве.